Global Sources
EE Times-Asia
Stay in touch with EE Times Asia
EE Times-Asia > Advanced Search > E-Beam

E-Beam Search results

?
?
total search91 articles
2009-06-15 TSMC weighs in on e-beam, EUV litho
Foundry giant Taiwan Semiconductor Manufacturing Co. Ltd is still backing two horses in the race to the next lithography extreme ultraviolet lithography (EUVL) and clustered electron-beam.
2009-01-14 Trio works on e-beam solutions
Vistec Electron Beam Lithography Group, CEA/Leti and D2S Inc. have announced a collaboration focused on refining and validating advanced design-for-e-beam (DFEB) solutions for the 45nm and 32nm nodes.
2010-01-21 ST joins CEA-Leti e-beam litho program
The program covers tool assessment, patterning and process integration, data handling, prototyping and cost analysis.
2008-10-20 Mapper, TSMC delve into multiple e-beam lithography
Mapper and TSMC have signed an agreement, according to which Mapper will ship its first 300mm multiple-electron-beam maskless lithography platform.
2010-03-11 Long road still to e-beam direct-write litho
While multiple development efforts on e-beam direct-write lithography have reported progress, a one prominent lithography researcher claims production tools are still a minimum of five years away.
2012-02-15 Initiative updates e-beam roadmap
Electron-beam technologies such as mask process correction and others are being guided by initiative members to facilitate a working ecosystem.
2002-09-23 Group to develop low-energy e-beam litho system
Toshiba Corp. and three partners have joined forces to develop a maskless, low-energy electron-beam direct-writing system for SoC devices.
2010-09-22 Forecast: all leading-edge designs will require e-beam
D2S Inc. CEO Aki Fujimora discusses the role of electron beam lithography in the future of semiconductor manufacturing.
2002-10-03 E-beam welding eyed for dense nanoscale circuits
An international consortium of researchers believes its electron-beam method for joining nanotubes could be applied to the construction of ultradense circuits.
2011-07-07 E-beam lithography speeds up chip production
MIT researchers have demonstrated the practicability of e-beam lithography in shrinking and mass producing computer chips.
2002-04-16 E-beam lithography consortium gains five more members
Five more companies have joined the Leepl Consortium, which is developing and promoting the Low Energy E-beam Proximity Projection Lithography system, scheduled to hit the market early next year.
2009-05-29 E-beam litho tool tailored for 8nm
Vistec Lithography Inc. has launched the out its EBPG5200 electron-beam lithography tool to generate and devise structures at less than 8nm on any substrate.
2010-10-26 E-beam litho system supports mask, direct-write apps
The electron-beam lithography system from Vistec Electron Beam GmbH will support both mask writing and direct-write applications.
2006-02-08 E- beam device spots defects in FEOL, BEOL apps
KLA-Tencor introduced the eS32, an extension of its e-beam inspection platform, designed to capture electrical and small physical defects.
2012-11-08 Customised lenset array prepped for advanced e-beam tool
Imec has designed and fabricated an electrostatic micro-lens array for KLA-Tencor's Reflective Electron Beam Lithography (REBL) tool.
2009-02-26 Chip vendors unite for e-beam initiative
The eBeam Initiative, a multi-company effort dedicated to the advancement of e-beam direct-write technology for semiconductor prototyping and low-volume manufacturing, was formally launched at the SPIE Advanced Lithography.
2005-10-18 Applied exits e-beam, laser tool markets
Applied Materials Inc. is quietly exiting the electron-beam and laser pattern-generation equipment markets, ending a painful and loss-ridden period in the competitive sectors, according to industry sources.
2010-04-26 3D-patterning technique trumps e-beam litho
IBM Research claims that a 3D technique for patterning at the atomic scale can outperform e-beam lithography in speed and resolution, at lower cost.
2005-01-12 Vasishta, Werfelli join eASIC management team
In a show of increasing momentum, eASIC Corp. announced Monday (Jan. 10) it has landed two high-profile ASIC-industry executives for its management team.
2011-09-09 TSMC to start EUV lithography
In two weeks, TSMC will start using its first extreme ultraviolet machine.
2012-08-30 TSMC added to multibeam mask writer development group
The collaborative program aims to develop multibeam electron-beam mask-writer for use in advanced mask lithography applications below 10-nm.
2008-10-14 Trio collaborates to develop maskless ICs
Fujitsu Microelectronics Ltd and e-Shuttle Inc. have agreed to adopt D2S' advanced design for e-beam (DFEB) technology, starting with a 65nm low power (LP) library.
2005-06-29 Toppan to expand semiconductor plant in Ichon
Toppan Photomasks Inc. plans to expand its Ichon, South Korea, facility.
2012-02-17 TEL joins DSA, maskless litho projects
Japan's Tokyo Electron Ltd (TEL) is working with on two collaborative lithography research projects spearheaded by the CEA-Leti research institute.
2004-06-30 Philips opens R&D rent-a-lab
Seeking to change the way high-tech companies conduct corporate research, Philips Research has opened a multipurpose clean room here available for rent to internal R&D teams as wells as outside customers.
2014-07-21 Intel, Berkeley Lab develop super-resist for EUV
The innovative super-resist addresses the demands of advanced nodes of 10nm and below using extreme-ultra-violet light, which needs both sensitivity and mechanical stability.
2010-03-24 Execs weigh in on right path for litho
Was EUV the wrong bet for the industry? If so, what should it be working on instead? And who will benefit in the long run? Litho experts and executives give their opinions on these hot questions.
2010-03-01 eBeam Initiative welcomes GlobalFoundries, Samsung
eBeam Initiative welcomes six additional companies in its program including GlobalFoundries and Samsung Electronics.
2009-10-06 D2S, Advantest partner on maskless SoCs
D2S unveils packed stencil technology that works with Advantest's e-beam direct write lithography equipment.
2011-08-23 Wafer inspection tool targets 20nm device nodes
KLA-Tencor has introduced the eDR-7000 e-beam wafer defect review system, an enabling tool for chip manufacturing at the 20nm device nodes and below.
Bloggers Say

Bloggers Say

See what engineers like you are posting on our pages.

?
?
Back to Top